”蓝桥杯EDA设计与开发“ 的搜索结果

     首先介绍一下蓝桥杯EDA设计与开发大学组,电子类的组别是没有像软件类分A,B组的,因为参加的人不多,所以统称为大学组,使用的软件是嘉立创出品的立创EDA专业版,赛题分为客观题部分和设计题部分,其中客观题部分15...

     蓝桥杯EDA设计与开发真题是指蓝桥杯电子设计自发组织者提出的一个真实项目,要求参赛选手完成相应的EDA设计与开发工作。该真题的目的是考察参赛选手在电子设计领域的能力和技术水平。 在参加蓝桥杯EDA设计与开发...

     蓝桥杯EDA设计与开发试题要求参赛选手具备扎实的电子基础、EDA设计基础和软件编程基础,同时要善于独立思考和解决问题的能力。参赛选手需要根据主办方提供的相关设计要求,进行EDA软件设计和开发,并实现各项功能...

     本文作者参与了十三届蓝桥杯EDA赛项,通过自我准备成功拿到省一,最终获得国奖 本文将对如何准备省赛国赛及本届省赛国赛难易度进行一些简单分享,希望能够为广大学子更好参与这项赛事,使用好嘉立创EDA平台进行原理...

7   
6  
5  
4  
3  
2  
1