Openpiton RISC-V处理器学习笔记(3 移植至ZCU102 FPGA并仿真)_xilinx zcu102-程序员宅基地

技术标签: fpga开发  risc-v  Powered by 金山文档  linux  

注:无需移植跳转至生成比特流步骤即可。

一、IP移植

工程所用IP不涉及PS端arm内核,均在PL端,皆可移植至ZCU102。在vivado新建工程中设置好导出xci文件拷贝至对应文件夹(新增zcu102文件夹)即可,脚本运行时会自动添加对应ip

所使用PL端IP为:

DDR4控制器(拷贝位置piton/design/chipset/mc/xilinx/zcu102/ip_cores/ddr4_0)

UART16550串口(拷贝位置/piton/design/chipset/io_ctrl/xilinx/zcu102/ip_cores/uart_16550

afifo_w64_d128(拷贝位置piton/design/chipset/Xilinx/zcu102/ip_cores/afifo_w64_d128_std)

clk_mmcm时钟分频(拷贝位置/piton/design/chipset/xilinx/zcu102/ip_cores/clk_mmcm)

atg_uart_init串口初始化(拷贝位置piton/design/chipset/io_ctrl/xilinx/zcu102/ip_cores/atg_uart_init)

二、板卡特性宏定义修改

ZCU102不提供PL端SD卡访问,在宏定义和约束中去掉;ZCU102 PL端DDR支持数据宽度为16位,需要修改DDR4 IP和对应约束,Genesys2开发板使用的是DDR3,ZCU102需要在piton/design/include/piton_system.h文件中修改DDR相关宏定义配置。

由于ZCU102复位是高有效,需要在piton_system.vh中设置对应宏变量[`definePITON_FPGA_RST_ACT_HIGH]

自117行开始修改如下

`ifdef VC707_BOARD
    `define PITON_CHIPSET_DIFF_CLK
`elsif VCU118_BOARD
    `define PITON_CHIPSET_DIFF_CLK
`elsif XUPP3R_BOARD
    `define PITON_CHIPSET_DIFF_CLK
`elsif GENESYS2_BOARD
    `define PITON_CHIPSET_DIFF_CLK
`elsif ZCU102_BOARD
    `define PITON_CHIPSET_DIFF_CLK
`elsif PITON_BOARD
    `define PITON_CHIPSET_DIFF_CLK
    `define PITON_CHIPSET_DIFF_CLK_POLARITY_CAPS
    `define PITON_FPGA_AFIFO_NO_SRST
`endif
// Nexys4ddr and NexysVideo has single ended clock input

// Reset is active high on VC707 and VCU118 and ZCU102
`ifdef VC707_BOARD
    `define PITON_FPGA_RST_ACT_HIGH
`elsif VCU118_BOARD
    `define PITON_FPGA_RST_ACT_HIGH
`elsif ZCU102_BOARD
    `define PITON_FPGA_RST_ACT_HIGH
`endif
`ifdef XUPP3R_BOARD
    `undef PITON_FPGA_SD_BOOT
    `undef PITONSYS_SPI
`elsif ZCU102_BOARD
    `undef PITON_FPGA_SD_BOOT
    `undef PITONSYS_SPI
`elsif F1_BOARD
    `undef PITON_FPGA_SD_BOOT
    `undef PITONSYS_SPI
    `define PITONSYS_AXI4_MEM
`endif

`ifdef XUPP3R_BOARD
    `undef PITON_FPGA_SD_BOOT
    `undef PITONSYS_SPI
`elsif ZCU102_BOARD
    `undef PITON_FPGA_SD_BOOT
    `undef PITONSYS_SPI
`elsif F1_BOARD
    `undef PITON_FPGA_SD_BOOT
    `undef PITONSYS_SPI
    `define PITONSYS_AXI4_MEM
`endif

// If PITON_FPGA_SD_BOOT is set we should always include SPI
`ifdef PITON_FPGA_SD_BOOT
    `define PITONSYS_SPI
`endif // endif PITON_FPGA_SD_BOOT

// If PITONSYS_UART_BOOT is set, we should always include a UART
`ifdef PITONSYS_UART_BOOT
    `define PITONSYS_UART
`endif // endif PITONSYS_UART_BOOT

`ifdef VCU118_BOARD
    `define PITONSYS_DDR4
`elsif XUPP3R_BOARD
    `define PITONSYS_DDR4
`elsif ZCU102_BOARD
    `define PITONSYS_DDR4
`endif

三、内存信息宏定义修改

新增ZCU102开发板型号,宏定义中新增对应配置信息。

注:ZCU102拥有两块DDR,提供给PS端的为64位,提供给PL端的为16位。

Piton/design/chipset/include/mc_define.h

ZCU102_BOARD
    `define BOARD_MEM_SIZE_MB      512
    `define WORDS_PER_BURST        8
    `define WORD_SIZE              8 // in bytes134,217,728
    `define MIG_APP_ADDR_WIDTH     28
    `define MIG_APP_CMD_WIDTH      3
    `define MIG_APP_DATA_WIDTH     128
    `define MIG_APP_MASK_WIDTH     16
 
    `define DDR3_DQ_WIDTH          16
    `define DDR3_DQS_WIDTH         2
    `define DDR3_ADDR_WIDTH        17
    `define DDR3_BA_WIDTH          2
    `define DDR3_DM_WIDTH          2
    `define DDR3_CK_WIDTH          1
    `define DDR3_CKE_WIDTH         1
    `define DDR3_CS_WIDTH          1
    `define DDR3_ODT_WIDTH         1

四、嵌入式程序修改

(位于文件夹piton/verif/diag/c/riscv/ariane内),工程例程基于Genesys2开发板设计,程序为32位,涉及内存写入的程序需要修改至ZCU102内存支持的64位(非必要,但软硬件要对应,硬件32位软件也要32位)。

五、外设列表修改

新增piton/design/xilinx/zcu102/devices_ariane.xml和devices.xml文件(该文件为处理器访存的地址信息,可复制同文件夹的其他开发板的文件进行修改)

将新增外设添加至列表中时,特别是<stream_accessible/>,缺少这一行可仿真,但上板时无法在ZCU102上访问该外设!

修改内存信息

<port>
        <name>mem</name>
        <base>0x80000000</base>
        <!-- 512MB -->
        <length>0x20000000</length>
    </port>

六、修改文件列表

新增Veriloig或System Verilog文件时,修改piton/tools/src/proto/common/rtl_setup.tcl和piton/design/chip/tile/ariane/Flist.ariane两个文件即可,仿真和上板都需要修改。

七、运行脚本修改

运行综合的脚本为piton/tools/src/proto/protosyn,2.5

运行嵌入式程序的脚本为piton/tools/src/proto/pitonstream,1.0

由于综合脚本和嵌入式程序脚本版本不同,且使用同一个文件piton/tools/src/proto/dbg.py,内置的print函数形式需要手动切换。【综合时用print(msg_print, file=fstream),烧程序时用print>> fstream, msg_print】

def print_debug(msg, fstream=sys.stderr):
    if DEBUG:
        msg_print = clr.CYAN + "[DEBUG] " + getFuncLine() + clr.RST_CLR + ": " + msg
        # print(msg_print, file=fstream)
        print >> fstream, msg_printz
    else:
        pass
def print_info(msg, fstream=sys.stderr):
    msg_print = clr.BLUE + "[INFO]  " + getFuncLine() + clr.RST_CLR + ": " + msg
    # print(msg_print, file=fstream)
    print >> fstream, msg_print
def print_warning(msg, fstream=sys.stderr):
    msg_print = clr.YELLOW + "[WARN]  " + getFuncLine() + clr.RST_CLR + ": " + msg
    # print(msg_print, file=fstream)
    print >> fstream, msg_print
def print_error(msg, fstream=sys.stderr):
    msg_print = clr.RED + "[ERROR] " + getFuncLine() + clr.RST_CLR + ": " + msg
    # print(msg_print, file=fstream)
    print >> fstream, msg_print

在这两个文件新增ZCU102,此外在protosyn,2.5中指定ZCU102不使用SD卡模块,不定义PITON_FPGA_SD_BOOT宏定义

# do not use SD controller if BRAM is used for boot or a test or if board doesn't have sd
    if (options.test_name != None) or (options.board in {"piton_board", 'xupp3r', "f1","zcu102"}):
        pass
    else:   # default option
        defines.append("PITON_FPGA_SD_BOOT")

此外,由于新版vivado自带Python环境,需要在脚本中移除Python环境的相关设置。

参考https://github.com/PrincetonUniversity/openpiton/issues/50

在文件piton/tools/src/proto/common/setup.tcl第131和132行之间添加以下设置

  unset ::env(PYTHONPATH)
  unset ::env(PYTHONHOME)

八、板卡信息修改

在piton/tools/src/proto新增zcu102文件夹,新建board.tcl文件,内含ZCU102配置信息

set BOARD_PART ""
set FPGA_PART"xczu9eg-ffvb1156-2-e"
set VIVADO_FLOW_PERF_OPT 0
set BOARD_DEFAULT_VERILOG_MACROS"ZCU102_BOARD"

九、约束文件修改

位置:piton/design/xilinx/zcu102/constraints.xdc

#系统时钟
set_property IOSTANDARD LVDS_25 [get_ports chipset_clk_osc_n]
set_property PACKAGE_PIN G21 [get_ports chipset_clk_osc_p]
set_property PACKAGE_PIN F21 [get_ports chipset_clk_osc_n]
set_property IOSTANDARD LVDS_25 [get_ports chipset_clk_osc_p]
#内存时钟
set_property IOSTANDARD DIFF_SSTL12 [get_ports mc_clk_n]
set_property PACKAGE_PIN AL8 [get_ports mc_clk_p]
set_property PACKAGE_PIN AL7 [get_ports mc_clk_n]
set_property IOSTANDARD DIFF_SSTL12 [get_ports mc_clk_p]
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets chipset/clk_mmcm/inst/clkin1_ibufds/O]
#笔者未进行JTAG调试,不确定tck、td_i、td_o、tms、trst是否正常工作,若需要使用可自行绑定特定IO口
#JTAG时钟
create_clock -period 100.000 -name tck_i -waveform {0.000 50.000} [get_ports tck_i]
#系统复位
set_property PACKAGE_PIN AM13 [get_ports sys_rst_n]
set_property IOSTANDARD LVCMOS33 [get_ports sys_rst_n]
#串口
set_property PACKAGE_PIN E13 [get_ports uart_rx]
set_property IOSTANDARD LVCMOS33 [get_ports uart_rx]
set_property PACKAGE_PIN F13 [get_ports uart_tx]
set_property IOSTANDARD LVCMOS33 [get_ports uart_tx]
set_property PACKAGE_PIN D12 [get_ports uart_cts]
set_property IOSTANDARD LVCMOS33 [get_ports uart_cts]
set_property PACKAGE_PIN E12 [get_ports uart_rts]
set_property IOSTANDARD LVCMOS33 [get_ports uart_rts]
#JTAG接口
set_property PACKAGE_PIN D20 [get_ports tck_i]
set_property IOSTANDARD LVCMOS33 [get_ports tck_i]
set_property PACKAGE_PIN E20 [get_ports td_i]
set_property IOSTANDARD LVCMOS33 [get_ports td_i]
set_property PACKAGE_PIN D22 [get_ports td_o]
set_property IOSTANDARD LVCMOS33 [get_ports td_o]
set_property PACKAGE_PIN E22 [get_ports tms_i]
set_property IOSTANDARD LVCMOS33 [get_ports tms_i]
set_property PACKAGE_PIN F20 [get_ports trst_ni]
set_property IOSTANDARD LVCMOS33 [get_ports trst_ni]
## Add some additional constraints for JTAG signals, set to 10MHz to be on the safe side
set_input_delay -clock tck_i -clock_fall 5.000 [get_ports td_i]
set_input_delay -clock tck_i -clock_fall 5.000 [get_ports tms_i]
set_output_delay -clock tck_i 5.000 [get_ports td_o]
set_false_path -from [get_ports trst_ni]
# accept sub-optimal placement
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets tck_i_IBUF_inst/O]
# constrain clock domain crossing
set_max_delay -datapath_only -from [get_clocks -include_generated_clocks chipset_clk_clk_mmcm] -to [get_clocks tck_i] 15.000
set_max_delay -datapath_only -from [get_clocks tck_i] -to [get_clocks -include_generated_clocks chipset_clk_clk_mmcm] 15.000
#LED和Switch
set_property PACKAGE_PIN AG14 [get_ports {leds[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {leds[0]}]
set_property PACKAGE_PIN AF13 [get_ports {leds[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {leds[1]}]
set_property PACKAGE_PIN AE13 [get_ports {leds[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {leds[2]}]
set_property PACKAGE_PIN AJ14 [get_ports {leds[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {leds[3]}]
set_property PACKAGE_PIN AJ15 [get_ports {leds[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {leds[4]}]
set_property PACKAGE_PIN AH13 [get_ports {leds[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {leds[5]}]
set_property PACKAGE_PIN AH14 [get_ports {leds[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {leds[6]}]
set_property PACKAGE_PIN AL12 [get_ports {leds[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {leds[7]}]
set_property PACKAGE_PIN AK13 [get_ports {sw[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sw[7]}]
set_property PACKAGE_PIN AL13 [get_ports {sw[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sw[6]}]
set_property PACKAGE_PIN AP12 [get_ports {sw[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sw[5]}]
set_property PACKAGE_PIN AN12 [get_ports {sw[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sw[4]}]
set_property PACKAGE_PIN AN13 [get_ports {sw[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sw[3]}]
set_property PACKAGE_PIN AM14 [get_ports {sw[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sw[2]}]
set_property PACKAGE_PIN AP14 [get_ports {sw[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sw[1]}]
set_property PACKAGE_PIN AN14 [get_ports {sw[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sw[0]}]

# False paths
set_false_path -to [get_cells -hierarchical *afifo_ui_rst_r*]
set_false_path -to [get_cells -hierarchical *ui_clk_sync_rst_r*]
set_false_path -to [get_cells -hierarchical *ui_clk_syn_rst_delayed*]
set_false_path -to [get_cells -hierarchical *init_calib_complete_f*]
set_false_path -to [get_cells -hierarchical *chipset_rst_n*]

#DDR
set_property PACKAGE_PIN AH9 [get_ports ddr_reset_n]
set_property IOSTANDARD LVCMOS18 [get_ports ddr_reset_n]
set_property PACKAGE_PIN AK2 [get_ports {ddr_dq[8]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[8]}]
set_property PACKAGE_PIN AK3 [get_ports {ddr_dq[9]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[9]}]
set_property PACKAGE_PIN AL1 [get_ports {ddr_dq[10]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[10]}]
set_property PACKAGE_PIN AK1 [get_ports {ddr_dq[11]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[11]}]
set_property IOSTANDARD DIFF_POD12_DCI [get_ports {ddr_dqs_n[1]}]
set_property PACKAGE_PIN AL3 [get_ports {ddr_dqs_p[1]}]
set_property PACKAGE_PIN AL2 [get_ports {ddr_dqs_n[1]}]
set_property IOSTANDARD DIFF_POD12_DCI [get_ports {ddr_dqs_p[1]}]
set_property PACKAGE_PIN AN1 [get_ports {ddr_dq[12]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[12]}]
set_property PACKAGE_PIN AM1 [get_ports {ddr_dq[13]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[13]}]
set_property PACKAGE_PIN AP3 [get_ports {ddr_dq[14]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[14]}]
set_property PACKAGE_PIN AN3 [get_ports {ddr_dq[15]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[15]}]
set_property PACKAGE_PIN AP2 [get_ports {ddr_cs_n[0]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_cs_n[0]}]
set_property PACKAGE_PIN AN2 [get_ports {ddr_dm[1]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dm[1]}]
# set_property PACKAGE_PIN AP1      [get_ports "DDR4_PAR"] ;# Bank  64 VCCO - VCC1V2   - IO_T3U_N12_64
# set_property IOSTANDARD  SSTL12_DCI [get_ports "DDR4_PAR"] ;# Bank  64 VCCO - VCC1V2   - IO_T3U_N12_64
set_property PACKAGE_PIN AM3 [get_ports {ddr_cke[0]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_cke[0]}]
set_property PACKAGE_PIN AK4 [get_ports {ddr_dq[0]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[0]}]
set_property PACKAGE_PIN AK5 [get_ports {ddr_dq[1]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[1]}]
set_property PACKAGE_PIN AN4 [get_ports {ddr_dq[2]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[2]}]
set_property PACKAGE_PIN AM4 [get_ports {ddr_dq[3]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[3]}]
set_property IOSTANDARD DIFF_POD12_DCI [get_ports {ddr_dqs_n[0]}]
set_property PACKAGE_PIN AN6 [get_ports {ddr_dqs_p[0]}]
set_property PACKAGE_PIN AP6 [get_ports {ddr_dqs_n[0]}]
set_property IOSTANDARD DIFF_POD12_DCI [get_ports {ddr_dqs_p[0]}]
set_property PACKAGE_PIN AP4 [get_ports {ddr_dq[4]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[4]}]
set_property PACKAGE_PIN AP5 [get_ports {ddr_dq[5]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[5]}]
set_property PACKAGE_PIN AM5 [get_ports {ddr_dq[6]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[6]}]
set_property PACKAGE_PIN AM6 [get_ports {ddr_dq[7]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dq[7]}]
set_property PACKAGE_PIN AL5 [get_ports {ddr_addr[15]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[15]}]
set_property PACKAGE_PIN AL6 [get_ports {ddr_dm[0]}]
set_property IOSTANDARD POD12_DCI [get_ports {ddr_dm[0]}]
set_property PACKAGE_PIN AK7 [get_ports {ddr_bg[0]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_bg[0]}]
set_property PACKAGE_PIN AK8 [get_ports ddr_act_n]
set_property IOSTANDARD SSTL12_DCI [get_ports ddr_act_n]
set_property IOSTANDARD DIFF_SSTL12_DCI [get_ports {ddr_ck_n[0]}]
set_property PACKAGE_PIN AN7 [get_ports {ddr_ck_p[0]}]
set_property PACKAGE_PIN AP7 [get_ports {ddr_ck_n[0]}]
set_property IOSTANDARD DIFF_SSTL12_DCI [get_ports {ddr_ck_p[0]}]
set_property PACKAGE_PIN AK9 [get_ports {ddr_odt[0]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_odt[0]}]
set_property PACKAGE_PIN AJ9 [get_ports {ddr_addr[16]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[16]}]
set_property PACKAGE_PIN AM8 [get_ports {ddr_addr[0]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[0]}]
set_property PACKAGE_PIN AM9 [get_ports {ddr_addr[1]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[1]}]
set_property PACKAGE_PIN AP8 [get_ports {ddr_addr[2]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[2]}]
set_property PACKAGE_PIN AN8 [get_ports {ddr_addr[3]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[3]}]
set_property PACKAGE_PIN AJ7 [get_ports {ddr_addr[14]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[14]}]
# set_property PACKAGE_PIN AN11     [get_ports "VRP_64"] ;# Bank  64 VCCO - VCC1V2   - IO_T0U_N12_VRP_64
#set_property IOSTANDARD           [get_ports "VRP_64"] ;# Bank  64 VCCO - VCC1V2   - IO_T0U_N12_VRP_64
set_property PACKAGE_PIN AK10 [get_ports {ddr_addr[4]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[4]}]
set_property PACKAGE_PIN AJ10 [get_ports {ddr_addr[5]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[5]}]
set_property PACKAGE_PIN AP9 [get_ports {ddr_addr[6]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[6]}]
set_property PACKAGE_PIN AN9 [get_ports {ddr_addr[7]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[7]}]
set_property PACKAGE_PIN AP10 [get_ports {ddr_addr[8]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[8]}]
set_property PACKAGE_PIN AP11 [get_ports {ddr_addr[9]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[9]}]
set_property PACKAGE_PIN AM10 [get_ports {ddr_addr[10]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[10]}]
set_property PACKAGE_PIN AL10 [get_ports {ddr_addr[11]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[11]}]
set_property PACKAGE_PIN AM11 [get_ports {ddr_addr[12]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[12]}]
set_property PACKAGE_PIN AL11 [get_ports {ddr_addr[13]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_addr[13]}]
set_property PACKAGE_PIN AK12 [get_ports {ddr_ba[0]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_ba[0]}]
set_property PACKAGE_PIN AJ12 [get_ports {ddr_ba[1]}]
set_property IOSTANDARD SSTL12_DCI [get_ports {ddr_ba[1]}]

十、生成比特流

在openpiton文件夹下打开终端输入指令

su
source piton/ariane_setup.sh
cd build
protosyn -b zcu102 -d system --core=ariane --uart-dmw ddr
#注:如果没修改piton/tools/src/proto/dbg.py的print函数会报错,修改文件即可
#错误:TypeError: unsupported operand type(s) for >>: 'builtin_function_or_method' and '_io.TextIOWrapper'. Did you mean "print(<message>, file=<output_stream>)"?

运行如果出错,打开vivado,打开build文件夹下对应板卡工程文件查看vivado报错信息即可。

运行结果:

十一、运行嵌入式程序

生成比特流后,打开vivado,进行正常的烧写比特流流程open hardware manager→open target→program devices→program

烧写成功后板卡LED灯如图所示:

运行嵌入程序前需要将switch 7开关打开才能使用pitonstream脚本烧写程序:

在build文件夹下新建txt文本文件,定义需要仿真的程序(仿真文件默认在openpiton/openpiton/piton/verif/diag/c/riscv/ariane文件夹下):

保存后使用脚本即可烧写嵌入式程序:

在openpiton文件夹下打开终端输入指令

su
source piton/ariane_setup.sh
cd build
pitonstream -b zcu102 -d system -f ./test.txt --core=ariane -p ttyUSB2 
#ttyUSB指串口转USB端口,ZCU102有4个串口,ttyUSB2指第二个,一般情况这里是第2个,如果无法仿真切换别的端口试试

运行后,脚本会检测开发板的reset信号

按下板卡的reset信号,脚本运行嵌入式程序

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_45144013/article/details/129424745

智能推荐

什么是内部类?成员内部类、静态内部类、局部内部类和匿名内部类的区别及作用?_成员内部类和局部内部类的区别-程序员宅基地

文章浏览阅读3.4k次,点赞8次,收藏42次。一、什么是内部类?or 内部类的概念内部类是定义在另一个类中的类;下面类TestB是类TestA的内部类。即内部类对象引用了实例化该内部对象的外围类对象。public class TestA{ class TestB {}}二、 为什么需要内部类?or 内部类有什么作用?1、 内部类方法可以访问该类定义所在的作用域中的数据,包括私有数据。2、内部类可以对同一个包中的其他类隐藏起来。3、 当想要定义一个回调函数且不想编写大量代码时,使用匿名内部类比较便捷。三、 内部类的分类成员内部_成员内部类和局部内部类的区别

分布式系统_分布式系统运维工具-程序员宅基地

文章浏览阅读118次。分布式系统要求拆分分布式思想的实质搭配要求分布式系统要求按照某些特定的规则将项目进行拆分。如果将一个项目的所有模板功能都写到一起,当某个模块出现问题时将直接导致整个服务器出现问题。拆分按照业务拆分为不同的服务器,有效的降低系统架构的耦合性在业务拆分的基础上可按照代码层级进行拆分(view、controller、service、pojo)分布式思想的实质分布式思想的实质是为了系统的..._分布式系统运维工具

用Exce分析l数据极简入门_exce l趋势分析数据量-程序员宅基地

文章浏览阅读174次。1.数据源准备2.数据处理step1:数据表处理应用函数:①VLOOKUP函数; ② CONCATENATE函数终表:step2:数据透视表统计分析(1) 透视表汇总不同渠道用户数, 金额(2)透视表汇总不同日期购买用户数,金额(3)透视表汇总不同用户购买订单数,金额step3:讲第二步结果可视化, 比如, 柱形图(1)不同渠道用户数, 金额(2)不同日期..._exce l趋势分析数据量

宁盾堡垒机双因素认证方案_horizon宁盾双因素配置-程序员宅基地

文章浏览阅读3.3k次。堡垒机可以为企业实现服务器、网络设备、数据库、安全设备等的集中管控和安全可靠运行,帮助IT运维人员提高工作效率。通俗来说,就是用来控制哪些人可以登录哪些资产(事先防范和事中控制),以及录像记录登录资产后做了什么事情(事后溯源)。由于堡垒机内部保存着企业所有的设备资产和权限关系,是企业内部信息安全的重要一环。但目前出现的以下问题产生了很大安全隐患:密码设置过于简单,容易被暴力破解;为方便记忆,设置统一的密码,一旦单点被破,极易引发全面危机。在单一的静态密码验证机制下,登录密码是堡垒机安全的唯一_horizon宁盾双因素配置

谷歌浏览器安装(Win、Linux、离线安装)_chrome linux debian离线安装依赖-程序员宅基地

文章浏览阅读7.7k次,点赞4次,收藏16次。Chrome作为一款挺不错的浏览器,其有着诸多的优良特性,并且支持跨平台。其支持(Windows、Linux、Mac OS X、BSD、Android),在绝大多数情况下,其的安装都很简单,但有时会由于网络原因,无法安装,所以在这里总结下Chrome的安装。Windows下的安装:在线安装:离线安装:Linux下的安装:在线安装:离线安装:..._chrome linux debian离线安装依赖

烤仔TVの尚书房 | 逃离北上广?不如押宝越南“北上广”-程序员宅基地

文章浏览阅读153次。中国发达城市榜单每天都在刷新,但无非是北上广轮流坐庄。北京拥有最顶尖的文化资源,上海是“摩登”的国际化大都市,广州是活力四射的千年商都。GDP和发展潜力是衡量城市的数字指...

随便推点

java spark的使用和配置_使用java调用spark注册进去的程序-程序员宅基地

文章浏览阅读3.3k次。前言spark在java使用比较少,多是scala的用法,我这里介绍一下我在项目中使用的代码配置详细算法的使用请点击我主页列表查看版本jar版本说明spark3.0.1scala2.12这个版本注意和spark版本对应,只是为了引jar包springboot版本2.3.2.RELEASEmaven<!-- spark --> <dependency> <gro_使用java调用spark注册进去的程序

汽车零部件开发工具巨头V公司全套bootloader中UDS协议栈源代码,自己完成底层外设驱动开发后,集成即可使用_uds协议栈 源代码-程序员宅基地

文章浏览阅读4.8k次。汽车零部件开发工具巨头V公司全套bootloader中UDS协议栈源代码,自己完成底层外设驱动开发后,集成即可使用,代码精简高效,大厂出品有量产保证。:139800617636213023darcy169_uds协议栈 源代码

AUTOSAR基础篇之OS(下)_autosar 定义了 5 种多核支持类型-程序员宅基地

文章浏览阅读4.6k次,点赞20次,收藏148次。AUTOSAR基础篇之OS(下)前言首先,请问大家几个小小的问题,你清楚:你知道多核OS在什么场景下使用吗?多核系统OS又是如何协同启动或者关闭的呢?AUTOSAR OS存在哪些功能安全等方面的要求呢?多核OS之间的启动关闭与单核相比又存在哪些异同呢?。。。。。。今天,我们来一起探索并回答这些问题。为了便于大家理解,以下是本文的主题大纲:[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-JCXrdI0k-1636287756923)(https://gite_autosar 定义了 5 种多核支持类型

VS报错无法打开自己写的头文件_vs2013打不开自己定义的头文件-程序员宅基地

文章浏览阅读2.2k次,点赞6次,收藏14次。原因:自己写的头文件没有被加入到方案的包含目录中去,无法被检索到,也就无法打开。将自己写的头文件都放入header files。然后在VS界面上,右键方案名,点击属性。将自己头文件夹的目录添加进去。_vs2013打不开自己定义的头文件

【Redis】Redis基础命令集详解_redis命令-程序员宅基地

文章浏览阅读3.3w次,点赞80次,收藏342次。此时,可以将系统中所有用户的 Session 数据全部保存到 Redis 中,用户在提交新的请求后,系统先从Redis 中查找相应的Session 数据,如果存在,则再进行相关操作,否则跳转到登录页面。此时,可以将系统中所有用户的 Session 数据全部保存到 Redis 中,用户在提交新的请求后,系统先从Redis 中查找相应的Session 数据,如果存在,则再进行相关操作,否则跳转到登录页面。当数据量很大时,count 的数量的指定可能会不起作用,Redis 会自动调整每次的遍历数目。_redis命令

URP渲染管线简介-程序员宅基地

文章浏览阅读449次,点赞3次,收藏3次。URP的设计目标是在保持高性能的同时,提供更多的渲染功能和自定义选项。与普通项目相比,会多出Presets文件夹,里面包含着一些设置,包括本色,声音,法线,贴图等设置。全局只有主光源和附加光源,主光源只支持平行光,附加光源数量有限制,主光源和附加光源在一次Pass中可以一起着色。URP:全局只有主光源和附加光源,主光源只支持平行光,附加光源数量有限制,一次Pass可以计算多个光源。可编程渲染管线:渲染策略是可以供程序员定制的,可以定制的有:光照计算和光源,深度测试,摄像机光照烘焙,后期处理策略等等。_urp渲染管线

推荐文章

热门文章

相关标签