增强秒表 basys-3 实现_增强型秒表-程序员宅基地

技术标签: fpga开发  

在这里插入图片描述


module CLK_to_clk
#(parameter T1MS = 7500000) 
(
    input CLK,rst,
    output clk
);
    reg [32:0]count;
    always @(posedge CLK,posedge rst)
    begin
        if(rst)
            count<=0;
        else 
        begin 
            if(count < T1MS)
                count<= count+1 ;
            else count <= 0;
        end
    end
    assign clk = (count==T1MS)?1:0;
endmodule

module stopwatch(
    input CLK,rst,
    input go,up, // up信号 =1 则 倒计时
    output reg [3:0]M,D,
    output reg [5:0]SS
    );
    wire clk;
    CLK_to_clk C(CLK,rst,clk);
    reg [3:0]M_next,D_next;
    reg [5:0]SS_next;
always@(posedge CLK,posedge rst)
    begin
        if(rst)
            begin
                M <= 4'b0;
                D <= 4'b0;
                SS <= 6'b0;
            end
        else 
           if(go == 1)
           begin 
             M <= M_next;
             D <= D_next;
             SS <= SS_next;
           end
end



always @(posedge clk,posedge rst)
begin
    if(rst)
        begin
            D_next <= 0;
            SS_next <=0;
            M_next <=0;
        end
    else begin
        if(up==1)
            begin 
                if(D != 9)
                    D_next = D + 1; 
                else 
                    begin
                    D_next = 4'd0;
                    if(SS != 59)
                        SS_next = SS + 1;
                    else
                        begin
                        SS_next = 6'd0;
                        if(M != 9)
                            M_next = M +1;
                        else 
                            M_next = 0;
                        end
                    end
            end
        else
        begin
            if(D != 0)
                D_next = D - 1; 
            else 
            begin
                D_next = 4'd9;
                if(SS != 0)
                    SS_next = SS - 1;
                else
                    begin
                    SS_next = 6'd59;
                    if(M != 0)
                        M_next = M - 1;
                    else 
                        M_next = 4'd9;
                    end
            end
        end
    end
end

endmodule

module display_stopwatch(
    input CLK,rst,
    input [3:0]D,M,
    input [5:0]SS,
    output reg [10:0]display_out,
    output reg point
);
reg [19:0]count=0; 
reg [2:0] sel=0; 
parameter T2MS=1000; 
wire [3:0] digit_h[59:0];
wire [3:0] digit_l[59:0];
wire [6:0] seg_show[9:0];
generate
    genvar i;
    for(i=0;i<60;i=i+1)
    begin: init_SS
        assign digit_l[i] = (i%10) ;//秒的个位
        assign digit_h[i] = (i/10) ;//秒的十位
    end
endgenerate

assign seg_show[0] = 7'b0000001;
assign seg_show[1] = 7'b1001111;
assign seg_show[2] = 7'b0010010;
assign seg_show[3] = 7'b0000110;  
assign seg_show[4] = 7'b1001100;
assign seg_show[5] = 7'b0100100;
assign seg_show[6] = 7'b0100000;
assign seg_show[7] = 7'b0001111;
assign seg_show[8] = 7'b0000000;
assign seg_show[9] = 7'b0000100;


always@(posedge CLK,posedge rst) 
    if(rst)
        display_out <= 11'b0;
    else 
    begin   
         case(sel) 
         0:begin display_out<={
    4'b0111 , seg_show[M]};  point <= 0;end  // minute
         1:begin display_out<={
    4'b1011 , seg_show[ digit_h[SS] ]}; point <=1 ;end  // Second_high
         2:begin display_out<={
    4'b1101 , seg_show[ digit_l[SS] ]}; point<=0;end // second_low
         3:begin display_out<={
    4'b1110 , seg_show[D]};point<=0; end  // Dsec
         default:display_out<=11'b1111_1111111; 
         endcase 
    end 
    
always@(posedge CLK) 
 begin 
     count<=count+1; 
     if(count==T2MS) 
     begin 
         count<=0; 
         sel<=sel+1; 
         if(sel==3) 
         sel<=0; 
     end 
end

endmodule

module top_stopwatch(
    input CLK,rst,
    input go, up,
    output [10:0]display_out,
    output point
);
    wire [3:0] M,D;
    wire [5:0] SS; 
    stopwatch stp(
        .CLK(CLK),
        .rst(rst),
        .go(go),
        .up(up),
        .M(M),
        .SS(SS),
        .D(D)
    );
    display_stopwatch dis_stp (
        .CLK(CLK),
        .rst(rst),
        .D(D),
        .SS(SS),
        .M(M),
        .display_out(display_out),
        .point(point)
    );
endmodule

约束文件

set_property PACKAGE_PIN W5 [get_ports CLK] 
create_clock -add -name sys_clk_pin -period 10.00 -waveform {
    0 5} [get_ports CLK]
set_property PACKAGE_PIN V17 [get_ports go] 
set_property PACKAGE_PIN V16 [get_ports up] 
set_property PACKAGE_PIN T18 [get_ports rst] 
set_property PACKAGE_PIN V7 [get_ports point] 
set_property IOSTANDARD LVCMOS33 [get_ports point]
set_property IOSTANDARD LVCMOS33 [get_ports go]
set_property IOSTANDARD LVCMOS33 [get_ports up]  
set_property IOSTANDARD LVCMOS33 [get_ports rst]
set_property IOSTANDARD LVCMOS33 [get_ports CLK] 
set_property PACKAGE_PIN W4 [get_ports {
    display_out[10]}] 
set_property PACKAGE_PIN V4 [get_ports {
    display_out[9]}] 
set_property PACKAGE_PIN U4 [get_ports {
    display_out[8]}] 
set_property PACKAGE_PIN U2 [get_ports {
    display_out[7]}] 
set_property PACKAGE_PIN W7 [get_ports {
    display_out[6]}] 
set_property PACKAGE_PIN W6 [get_ports {
    display_out[5]}] 
set_property PACKAGE_PIN U8 [get_ports {
    display_out[4]}] 
set_property PACKAGE_PIN V8 [get_ports {
    display_out[3]}] 
set_property PACKAGE_PIN U5 [get_ports {
    display_out[2]}] 
set_property PACKAGE_PIN V5 [get_ports {
    display_out[1]}] 
set_property PACKAGE_PIN U7 [get_ports {
    display_out[0]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[9]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[8]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[7]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[6]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[5]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[4]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[3]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[1]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[2]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[0]}] 
set_property IOSTANDARD LVCMOS33 [get_ports {
    display_out[10]}]

这个写法不太规范,生成的clk不应该当作时钟用。

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_52957100/article/details/130007382

智能推荐

分布式光纤传感器的全球与中国市场2022-2028年:技术、参与者、趋势、市场规模及占有率研究报告_预计2026年中国分布式传感器市场规模有多大-程序员宅基地

文章浏览阅读3.2k次。本文研究全球与中国市场分布式光纤传感器的发展现状及未来发展趋势,分别从生产和消费的角度分析分布式光纤传感器的主要生产地区、主要消费地区以及主要的生产商。重点分析全球与中国市场的主要厂商产品特点、产品规格、不同规格产品的价格、产量、产值及全球和中国市场主要生产商的市场份额。主要生产商包括:FISO TechnologiesBrugg KabelSensor HighwayOmnisensAFL GlobalQinetiQ GroupLockheed MartinOSENSA Innovati_预计2026年中国分布式传感器市场规模有多大

07_08 常用组合逻辑电路结构——为IC设计的延时估计铺垫_基4布斯算法代码-程序员宅基地

文章浏览阅读1.1k次,点赞2次,收藏12次。常用组合逻辑电路结构——为IC设计的延时估计铺垫学习目的:估计模块间的delay,确保写的代码的timing 综合能给到多少HZ,以满足需求!_基4布斯算法代码

OpenAI Manager助手(基于SpringBoot和Vue)_chatgpt网页版-程序员宅基地

文章浏览阅读3.3k次,点赞3次,收藏5次。OpenAI Manager助手(基于SpringBoot和Vue)_chatgpt网页版

关于美国计算机奥赛USACO,你想知道的都在这_usaco可以多次提交吗-程序员宅基地

文章浏览阅读2.2k次。USACO自1992年举办,到目前为止已经举办了27届,目的是为了帮助美国信息学国家队选拔IOI的队员,目前逐渐发展为全球热门的线上赛事,成为美国大学申请条件下,含金量相当高的官方竞赛。USACO的比赛成绩可以助力计算机专业留学,越来越多的学生进入了康奈尔,麻省理工,普林斯顿,哈佛和耶鲁等大学,这些同学的共同点是他们都参加了美国计算机科学竞赛(USACO),并且取得过非常好的成绩。适合参赛人群USACO适合国内在读学生有意向申请美国大学的或者想锻炼自己编程能力的同学,高三学生也可以参加12月的第_usaco可以多次提交吗

MySQL存储过程和自定义函数_mysql自定义函数和存储过程-程序员宅基地

文章浏览阅读394次。1.1 存储程序1.2 创建存储过程1.3 创建自定义函数1.3.1 示例1.4 自定义函数和存储过程的区别1.5 变量的使用1.6 定义条件和处理程序1.6.1 定义条件1.6.1.1 示例1.6.2 定义处理程序1.6.2.1 示例1.7 光标的使用1.7.1 声明光标1.7.2 打开光标1.7.3 使用光标1.7.4 关闭光标1.8 流程控制的使用1.8.1 IF语句1.8.2 CASE语句1.8.3 LOOP语句1.8.4 LEAVE语句1.8.5 ITERATE语句1.8.6 REPEAT语句。_mysql自定义函数和存储过程

半导体基础知识与PN结_本征半导体电流为0-程序员宅基地

文章浏览阅读188次。半导体二极管——集成电路最小组成单元。_本征半导体电流为0

随便推点

【Unity3d Shader】水面和岩浆效果_unity 岩浆shader-程序员宅基地

文章浏览阅读2.8k次,点赞3次,收藏18次。游戏水面特效实现方式太多。咱们这边介绍的是一最简单的UV动画(无顶点位移),整个mesh由4个顶点构成。实现了水面效果(左图),不动代码稍微修改下参数和贴图可以实现岩浆效果(右图)。有要思路是1,uv按时间去做正弦波移动2,在1的基础上加个凹凸图混合uv3,在1、2的基础上加个水流方向4,加上对雾效的支持,如没必要请自行删除雾效代码(把包含fog的几行代码删除)S..._unity 岩浆shader

广义线性模型——Logistic回归模型(1)_广义线性回归模型-程序员宅基地

文章浏览阅读5k次。广义线性模型是线性模型的扩展,它通过连接函数建立响应变量的数学期望值与线性组合的预测变量之间的关系。广义线性模型拟合的形式为:其中g(μY)是条件均值的函数(称为连接函数)。另外,你可放松Y为正态分布的假设,改为Y 服从指数分布族中的一种分布即可。设定好连接函数和概率分布后,便可以通过最大似然估计的多次迭代推导出各参数值。在大部分情况下,线性模型就可以通过一系列连续型或类别型预测变量来预测正态分布的响应变量的工作。但是,有时候我们要进行非正态因变量的分析,例如:(1)类别型.._广义线性回归模型

HTML+CSS大作业 环境网页设计与实现(垃圾分类) web前端开发技术 web课程设计 网页规划与设计_垃圾分类网页设计目标怎么写-程序员宅基地

文章浏览阅读69次。环境保护、 保护地球、 校园环保、垃圾分类、绿色家园、等网站的设计与制作。 总结了一些学生网页制作的经验:一般的网页需要融入以下知识点:div+css布局、浮动、定位、高级css、表格、表单及验证、js轮播图、音频 视频 Flash的应用、ul li、下拉导航栏、鼠标划过效果等知识点,网页的风格主题也很全面:如爱好、风景、校园、美食、动漫、游戏、咖啡、音乐、家乡、电影、名人、商城以及个人主页等主题,学生、新手可参考下方页面的布局和设计和HTML源码(有用点赞△) 一套A+的网_垃圾分类网页设计目标怎么写

C# .Net 发布后,把dll全部放在一个文件夹中,让软件目录更整洁_.net dll 全局目录-程序员宅基地

文章浏览阅读614次,点赞7次,收藏11次。之前找到一个修改 exe 中 DLL地址 的方法, 不太好使,虽然能正确启动, 但无法改变 exe 的工作目录,这就影响了.Net 中很多获取 exe 执行目录来拼接的地址 ( 相对路径 ),比如 wwwroot 和 代码中相对目录还有一些复制到目录的普通文件 等等,它们的地址都会指向原来 exe 的目录, 而不是自定义的 “lib” 目录,根本原因就是没有修改 exe 的工作目录这次来搞一个启动程序,把 .net 的所有东西都放在一个文件夹,在文件夹同级的目录制作一个 exe._.net dll 全局目录

BRIEF特征点描述算法_breif description calculation 特征点-程序员宅基地

文章浏览阅读1.5k次。本文为转载,原博客地址:http://blog.csdn.net/hujingshuang/article/details/46910259简介 BRIEF是2010年的一篇名为《BRIEF:Binary Robust Independent Elementary Features》的文章中提出,BRIEF是对已检测到的特征点进行描述,它是一种二进制编码的描述子,摈弃了利用区域灰度..._breif description calculation 特征点

房屋租赁管理系统的设计和实现,SpringBoot计算机毕业设计论文_基于spring boot的房屋租赁系统论文-程序员宅基地

文章浏览阅读4.1k次,点赞21次,收藏79次。本文是《基于SpringBoot的房屋租赁管理系统》的配套原创说明文档,可以给应届毕业生提供格式撰写参考,也可以给开发类似系统的朋友们提供功能业务设计思路。_基于spring boot的房屋租赁系统论文