Vivado_DDS IP核_设计与仿真_dds vivado-程序员宅基地

技术标签: IP核  DDS  FPGA  Vivado  Verilog  Xilinx  

本文介绍Vivado中DDS IP核的使用方法。

DDS Compiler

首先在IP Catalog中搜索并找到DDS Compiler,双击打开。
在这里插入图片描述
Configuration:
Configuration Options:
Phase Generator and SIN/COS LUT:相位发生器和SIN/COS LUT结合。
Phase Generator only:仅提供相位发生器。
SIN/COS LUT only:仅提供带有可选泰勒级数校正电路的SIN/COS LUT。
注:Phase Generator and SIN/COS LUT 和 SIN/COS LUT only模式的区别在于,前者输入数据代表相位增量,即输入数据保持不变,内核会自动累加相位,后者输入数据代表相位值,即为了得到正确的输出,需要手动更新输入数据。
System Requirements:
System Clock:DDS内核的时钟频率。
Number of Channels:通道数,其会影响每个通道的有效时钟频率,即System Clock除以通道数。
Mode of Operation:DDS操作模式,有standard和rasterized 两种模式可选。
两种模式输出时钟频率计算公式分别为:
在这里插入图片描述
在这里插入图片描述
Parameter Selection:
System Parameters:
Spurious Free Dynamic Range (dB):无杂散动态范围。
Frequency Resolution (Hz):频率分辨率。
Noise Shaping:噪声成形。
Hardware Parameters:
Phase Width:相位宽度,设置m_axis_phase_tdata中PHASE_OUT字段的宽度。
Output Width:输出宽度,设置m_axis_data_tdata中SINE和COSINE字段的宽度。
对于指定的噪声成形方法,无杂散动态范围和输出宽度存在一定的换算关系。

Noise Shaping SFDR
None,Dither SFDR = Output Width x 6
Taylor SFDR = (Output Width - 1)x 6

在这里插入图片描述
Implementation
Phase Increment Programmability:选择设置 PINC 值的方式。
Phase Offset Programmability:选择设置 POFF 值的方法。
相位增量和相位偏移,在勾选Streaming后,若PINC和POFF分别为11比特,其在s_axis_phase_tdata的位置如下图所示。
在这里插入图片描述

Output:
Output Selection:分别勾选Sine and Cosine、Cosine、Sine后,其输出m_axis_data_tdata结构如下图所示。
在这里插入图片描述
Ploarity:设置是否翻转m_axis_data_tdata的SINE和COSINE字段。
Amplitude Mode: Full Range和Unit Circle。
在这里插入图片描述
Detailed Implementation:
设置AXI4-Stream接口的相关控制引脚。

仿真

仿真参数设置

在这里插入图片描述
在这里插入图片描述

仿真代码

`timescale 1ns / 1ps
module DDS_IP_sim;
//input 
reg aclk;
reg aresetn;
reg s_axis_phase_tvalid;
reg [31:0] s_axis_phase_tdata;
//output
wire m_axis_data_tvalid;
wire [31:0] m_axis_data_tdata;

wire [15:0] sin;
wire [15:0] cos;
initial begin
  aclk = 1'd0;
  s_axis_phase_tvalid = 1'd1;
  aresetn = 1'd1;
  s_axis_phase_tdata[31:16] = 16'h00_00;
  s_axis_phase_tdata[15:0] = 16'h0F_FF;
  # 5;
  forever begin
    # 5;
    aclk = ~aclk;
  end
end

assign sin = m_axis_data_tdata[31:16];
assign cos = m_axis_data_tdata[15:0];

dds_compiler_0 u_dds(
  .aclk(aclk),                                // input wire aclk
  .aresetn(aresetn),                          // input wire aresetn
  .s_axis_phase_tvalid(s_axis_phase_tvalid),  // input wire s_axis_phase_tvalid
  .s_axis_phase_tdata(s_axis_phase_tdata),    // input wire [31 : 0] s_axis_phase_tdata
  .m_axis_data_tvalid(m_axis_data_tvalid),    // output wire m_axis_data_tvalid
  .m_axis_data_tdata(m_axis_data_tdata)      // output wire [31 : 0] m_axis_data_tdata
);
endmodule

仿真波形

为了便于观察仿真结果,先右击信号,再点击Waveform Style->Analog,再点击Radix->Signed Decimal。
在这里插入图片描述

进一步可点击Waveform Style中的Analog Settings,将Interpolation style设置为Hold。
在这里插入图片描述
在这里插入图片描述

解释一下结果:
时钟频率为100MHz,时钟周期为10ns。phase increment 相位增量为0x0FFF,phase offse相位偏移为0x7FFF,phase width=16。则输出信号相位会落后0x7FFF / 0xFFFF x 360 = 180。输出信号周期为160ns,则输出频率为6.25MHz,满足 输出频率 = 0x0FFF / 0xFFFF x 输入频率。同时可以看到,输出信号sin和cos存在40ns的时差,则对应相差90度。
将phase offset相位偏移设置为0x0000,则仿真结果为
在这里插入图片描述
在SIN/COS LUT only操作模式下,需要手动更新s_axis_phase_tdata的值,从下图可以看出该值一直在变化。不同于上图中s_axis_phase_tdata一直保持为0x00000FFF。
在这里插入图片描述

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_44625313/article/details/125375522

智能推荐

c# 调用c++ lib静态库_c#调用lib-程序员宅基地

文章浏览阅读2w次,点赞7次,收藏51次。四个步骤1.创建C++ Win32项目动态库dll 2.在Win32项目动态库中添加 外部依赖项 lib头文件和lib库3.导出C接口4.c#调用c++动态库开始你的表演...①创建一个空白的解决方案,在解决方案中添加 Visual C++ , Win32 项目空白解决方案的创建:添加Visual C++ , Win32 项目这......_c#调用lib

deepin/ubuntu安装苹方字体-程序员宅基地

文章浏览阅读4.6k次。苹方字体是苹果系统上的黑体,挺好看的。注重颜值的网站都会使用,例如知乎:font-family: -apple-system, BlinkMacSystemFont, Helvetica Neue, PingFang SC, Microsoft YaHei, Source Han Sans SC, Noto Sans CJK SC, W..._ubuntu pingfang

html表单常见操作汇总_html表单的处理程序有那些-程序员宅基地

文章浏览阅读159次。表单表单概述表单标签表单域按钮控件demo表单标签表单标签基本语法结构<form action="处理数据程序的url地址“ method=”get|post“ name="表单名称”></form><!--action,当提交表单时,向何处发送表单中的数据,地址可以是相对地址也可以是绝对地址--><!--method将表单中的数据传送给服务器处理,get方式直接显示在url地址中,数据可以被缓存,且长度有限制;而post方式数据隐藏传输,_html表单的处理程序有那些

PHP设置谷歌验证器(Google Authenticator)实现操作二步验证_php otp 验证器-程序员宅基地

文章浏览阅读1.2k次。使用说明:开启Google的登陆二步验证(即Google Authenticator服务)后用户登陆时需要输入额外由手机客户端生成的一次性密码。实现Google Authenticator功能需要服务器端和客户端的支持。服务器端负责密钥的生成、验证一次性密码是否正确。客户端记录密钥后生成一次性密码。下载谷歌验证类库文件放到项目合适位置(我这边放在项目Vender下面)https://github.com/PHPGangsta/GoogleAuthenticatorPHP代码示例://引入谷_php otp 验证器

【Python】matplotlib.plot画图横坐标混乱及间隔处理_matplotlib更改横轴间距-程序员宅基地

文章浏览阅读4.3k次,点赞5次,收藏11次。matplotlib.plot画图横坐标混乱及间隔处理_matplotlib更改横轴间距

docker — 容器存储_docker 保存容器-程序员宅基地

文章浏览阅读2.2k次。①Storage driver 处理各镜像层及容器层的处理细节,实现了多层数据的堆叠,为用户 提供了多层数据合并后的统一视图②所有 Storage driver 都使用可堆叠图像层和写时复制(CoW)策略③docker info 命令可查看当系统上的 storage driver主要用于测试目的,不建议用于生成环境。_docker 保存容器

随便推点

网络拓扑结构_网络拓扑csdn-程序员宅基地

文章浏览阅读834次,点赞27次,收藏13次。网络拓扑结构是指计算机网络中各组件(如计算机、服务器、打印机、路由器、交换机等设备)及其连接线路在物理布局或逻辑构型上的排列形式。这种布局不仅描述了设备间的实际物理连接方式,也决定了数据在网络中流动的路径和方式。不同的网络拓扑结构影响着网络的性能、可靠性、可扩展性及管理维护的难易程度。_网络拓扑csdn

JS重写Date函数,兼容IOS系统_date.prototype 将所有 ios-程序员宅基地

文章浏览阅读1.8k次,点赞5次,收藏8次。IOS系统Date的坑要创建一个指定时间的new Date对象时,通常的做法是:new Date("2020-09-21 11:11:00")这行代码在 PC 端和安卓端都是正常的,而在 iOS 端则会提示 Invalid Date 无效日期。在IOS年月日中间的横岗许换成斜杠,也就是new Date("2020/09/21 11:11:00")通常为了兼容IOS的这个坑,需要做一些额外的特殊处理,笔者在开发的时候经常会忘了兼容IOS系统。所以就想试着重写Date函数,一劳永逸,避免每次ne_date.prototype 将所有 ios

如何将EXCEL表导入plsql数据库中-程序员宅基地

文章浏览阅读5.3k次。方法一:用PLSQL Developer工具。 1 在PLSQL Developer的sql window里输入select * from test for update; 2 按F8执行 3 打开锁, 再按一下加号. 鼠标点到第一列的列头,使全列成选中状态,然后粘贴,最后commit提交即可。(前提..._excel导入pl/sql

Git常用命令速查手册-程序员宅基地

文章浏览阅读83次。Git常用命令速查手册1、初始化仓库git init2、将文件添加到仓库git add 文件名 # 将工作区的某个文件添加到暂存区 git add -u # 添加所有被tracked文件中被修改或删除的文件信息到暂存区,不处理untracked的文件git add -A # 添加所有被tracked文件中被修改或删除的文件信息到暂存区,包括untracked的文件...

分享119个ASP.NET源码总有一个是你想要的_千博二手车源码v2023 build 1120-程序员宅基地

文章浏览阅读202次。分享119个ASP.NET源码总有一个是你想要的_千博二手车源码v2023 build 1120

【C++缺省函数】 空类默认产生的6个类成员函数_空类默认产生哪些类成员函数-程序员宅基地

文章浏览阅读1.8k次。版权声明:转载请注明出处 http://blog.csdn.net/irean_lau。目录(?)[+]1、缺省构造函数。2、缺省拷贝构造函数。3、 缺省析构函数。4、缺省赋值运算符。5、缺省取址运算符。6、 缺省取址运算符 const。[cpp] view plain copy_空类默认产生哪些类成员函数

推荐文章

热门文章

相关标签