【芯片设计- RTL 数字逻辑设计入门 2 - vcs 及 verdi 使用介绍】_vcs怎么查看电路结构-程序员宅基地

技术标签: 芯片设计 RTL 数字逻辑设计扫盲  fpga开发  verdi  DUMP_FSDB  vcs  fsdbDumpvars  fsdb  


请阅读【芯片设计 RTL 数字逻辑设计扫盲 】



上篇文章:芯片设计- RTL 数字逻辑设计入门 1- Linux 环境下 VCS与 Verdi 联合仿真

1.1 VCS 编译环境

VCS 全称是 Verilog Compiler Simulator,是 Synopsys 公司的,类似于windows环境下的 questasim 或 modelsim,只不过 vcs 是 linux 环境下的工具。编译之前首先检查编译环境是否准备完善,一般是通过 module list 命令来检查,module 常见的一些指令如下:

module help               # 显示帮助信息
module avail              # 显示已经安装的软件环境
module load               # 导入相应的软件环境
module unload             # 删除相应的软件环境
module list               # 列出已经导入的软件环境
module purge              # 清除所有已经导入的软件环境
module switch [mod1] mod2 # 删除mod1并导入mod2

可以通过 module list 检查是否 load 了 vcsverdi,如果没有,可以通过 module load xxx/xxx 进行加载。
在这里插入图片描述

1.1.1 Complie Design

首先是编译,主要完成语法检查和文件联编,并生成可执行的仿真文件
可直接在 Linux 终端执行,格式如下:

vcs [选项] [文件]

其中选项是可选的,下面给出一些常用选项

  • -full64: 支持64位系统;
  • -l [文件名]: 创建文件,用于记录编译日志;
  • -f [文件名]: 在某文件中索引要编译的文件路径,以及其他编译选项;
  • -o [文件名]: 重命名仿真文件;
  • -debug_acc+all: 支持UCLI调试;
  • +incdir+[目录]: 为`include指定索引目录;
  • +define+[宏]: 宏定义;
  • -notice: 更加冗长的编译信息,便于调试;
  • -timescale=1ns/1ps:时间单位和时间精度;
  • +v2k: 开启verilog 2001架构;
  • -sverilog: 开启systemverilog 架构。

编译完成后生成的文件如下:
在这里插入图片描述

1.1.2 simv 仿真

上图中 文件 simv 就是用于仿真的文件,生成 simv 文件之后就可以使用 DVE 进行仿真了

命令格式

simv [选项]

选项如下
-l [文件]: 仿真日志;
-gui: 开启GUI界面,否则就在 linux 终端显示 transcript 的内容;
-ucli: 在 linux 终端使用 TCL 控制仿真进程;
-i [文件名].tcl: 启动DVE后,在 UCLI 要启动的 TCL 命令;

1.2 VCS 波形生成及查看

VCS 对应的 waveform 工具有 DVEVerdi, DVE 因为是原生的,所以 VCS 对 DVE 非常友好。但 DVE 已经过时了,其对 uvm 等新 feature 支持的不好。

Verdi 是 Debussy 公司的产品,已 Synopsy 收购并, 如果要支持 Verdi,需要设置好 NOVAS_LIB_PATH 的环境变量,并且在命令行中添加 -kdb 的 option,knowledge database(kdb)是VCS支持Verdi时的重要概念。另外,VCS 支持 VPD 和 FSDB 两个格式的 dump wave, fsdb 的文件相对比较小。

若想用 Verdi 观察波形,需要在仿真时生成 fsdb 文件,而 fsdb 在 vcs 或者 modelsim 中的生成是通过两个系统调用 $fsdbDumpfile $fsdbDumpvars 来实现的。而这两个系统调用并不是 Verilog 中规定的,是 Verdi 以 PLI(Programming Language Interface)的方式实现的。这就需要让 vcs 编译时能找到相应的库。首先在 testbench 中需加入以下语句:

`ifdef DUMP_FSDB
    initialbegin
        //$fsdbDumpon;		打开波形保存功能
        //$fsdbDumpoff;		关闭波形保存功能
        $fsdbDumpfile("test.fsdb"); // 指定波形文件名为test.fsdb
        $fsdbDumpvars;
    end
`endif

DUMP_FSDB 编译开关在 vcs 编译时加入+define+DUMP_FSDB 选项就可以打开(也可以在 define.v 文件中定义 DUMP_FSDB 这个宏), 生成的 fsdb 文件如上图中 test.fsdb 文件。

1.2.1 verdi 命令介绍

verdi 的命令可直接在 Linux 终端执行,格式如下:

verdi [选项] [文件]

其中选项是可选的,下面给出一些常用选项:

  • -f [文件名]: 在某文件中索引工程包含的文件路径;
  • -top [名称]: 工程名称,后接的是tb文件的 Module 名字;
  • -nologo: 无欢迎界面;
  • -ssf [文件名].fsdb: 指定已有的波形文件。

1.2.2 verdi 波形查看

verid 波形查看命令:

bsub verdi -elab ./simv.daidir/kdb.elab++ -ssf test.fsdb

在这里插入图片描述
关于 bsub 的使用请参考:https://blog.csdn.net/sinat_32960911/article/details/129976200

上篇文章:芯片设计- RTL 数字逻辑设计入门 1- Linux 环境下 VCS与 Verdi 联合仿真

推荐阅读
http://www.taodudu.cc/news/show-5437615.html
https://download.csdn.net/download/dxydxzsxzg/11216049

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/sinat_32960911/article/details/130579954

智能推荐

什么是内部类?成员内部类、静态内部类、局部内部类和匿名内部类的区别及作用?_成员内部类和局部内部类的区别-程序员宅基地

文章浏览阅读3.4k次,点赞8次,收藏42次。一、什么是内部类?or 内部类的概念内部类是定义在另一个类中的类;下面类TestB是类TestA的内部类。即内部类对象引用了实例化该内部对象的外围类对象。public class TestA{ class TestB {}}二、 为什么需要内部类?or 内部类有什么作用?1、 内部类方法可以访问该类定义所在的作用域中的数据,包括私有数据。2、内部类可以对同一个包中的其他类隐藏起来。3、 当想要定义一个回调函数且不想编写大量代码时,使用匿名内部类比较便捷。三、 内部类的分类成员内部_成员内部类和局部内部类的区别

分布式系统_分布式系统运维工具-程序员宅基地

文章浏览阅读118次。分布式系统要求拆分分布式思想的实质搭配要求分布式系统要求按照某些特定的规则将项目进行拆分。如果将一个项目的所有模板功能都写到一起,当某个模块出现问题时将直接导致整个服务器出现问题。拆分按照业务拆分为不同的服务器,有效的降低系统架构的耦合性在业务拆分的基础上可按照代码层级进行拆分(view、controller、service、pojo)分布式思想的实质分布式思想的实质是为了系统的..._分布式系统运维工具

用Exce分析l数据极简入门_exce l趋势分析数据量-程序员宅基地

文章浏览阅读174次。1.数据源准备2.数据处理step1:数据表处理应用函数:①VLOOKUP函数; ② CONCATENATE函数终表:step2:数据透视表统计分析(1) 透视表汇总不同渠道用户数, 金额(2)透视表汇总不同日期购买用户数,金额(3)透视表汇总不同用户购买订单数,金额step3:讲第二步结果可视化, 比如, 柱形图(1)不同渠道用户数, 金额(2)不同日期..._exce l趋势分析数据量

宁盾堡垒机双因素认证方案_horizon宁盾双因素配置-程序员宅基地

文章浏览阅读3.3k次。堡垒机可以为企业实现服务器、网络设备、数据库、安全设备等的集中管控和安全可靠运行,帮助IT运维人员提高工作效率。通俗来说,就是用来控制哪些人可以登录哪些资产(事先防范和事中控制),以及录像记录登录资产后做了什么事情(事后溯源)。由于堡垒机内部保存着企业所有的设备资产和权限关系,是企业内部信息安全的重要一环。但目前出现的以下问题产生了很大安全隐患:密码设置过于简单,容易被暴力破解;为方便记忆,设置统一的密码,一旦单点被破,极易引发全面危机。在单一的静态密码验证机制下,登录密码是堡垒机安全的唯一_horizon宁盾双因素配置

谷歌浏览器安装(Win、Linux、离线安装)_chrome linux debian离线安装依赖-程序员宅基地

文章浏览阅读7.7k次,点赞4次,收藏16次。Chrome作为一款挺不错的浏览器,其有着诸多的优良特性,并且支持跨平台。其支持(Windows、Linux、Mac OS X、BSD、Android),在绝大多数情况下,其的安装都很简单,但有时会由于网络原因,无法安装,所以在这里总结下Chrome的安装。Windows下的安装:在线安装:离线安装:Linux下的安装:在线安装:离线安装:..._chrome linux debian离线安装依赖

烤仔TVの尚书房 | 逃离北上广?不如押宝越南“北上广”-程序员宅基地

文章浏览阅读153次。中国发达城市榜单每天都在刷新,但无非是北上广轮流坐庄。北京拥有最顶尖的文化资源,上海是“摩登”的国际化大都市,广州是活力四射的千年商都。GDP和发展潜力是衡量城市的数字指...

随便推点

java spark的使用和配置_使用java调用spark注册进去的程序-程序员宅基地

文章浏览阅读3.3k次。前言spark在java使用比较少,多是scala的用法,我这里介绍一下我在项目中使用的代码配置详细算法的使用请点击我主页列表查看版本jar版本说明spark3.0.1scala2.12这个版本注意和spark版本对应,只是为了引jar包springboot版本2.3.2.RELEASEmaven<!-- spark --> <dependency> <gro_使用java调用spark注册进去的程序

汽车零部件开发工具巨头V公司全套bootloader中UDS协议栈源代码,自己完成底层外设驱动开发后,集成即可使用_uds协议栈 源代码-程序员宅基地

文章浏览阅读4.8k次。汽车零部件开发工具巨头V公司全套bootloader中UDS协议栈源代码,自己完成底层外设驱动开发后,集成即可使用,代码精简高效,大厂出品有量产保证。:139800617636213023darcy169_uds协议栈 源代码

AUTOSAR基础篇之OS(下)_autosar 定义了 5 种多核支持类型-程序员宅基地

文章浏览阅读4.6k次,点赞20次,收藏148次。AUTOSAR基础篇之OS(下)前言首先,请问大家几个小小的问题,你清楚:你知道多核OS在什么场景下使用吗?多核系统OS又是如何协同启动或者关闭的呢?AUTOSAR OS存在哪些功能安全等方面的要求呢?多核OS之间的启动关闭与单核相比又存在哪些异同呢?。。。。。。今天,我们来一起探索并回答这些问题。为了便于大家理解,以下是本文的主题大纲:[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-JCXrdI0k-1636287756923)(https://gite_autosar 定义了 5 种多核支持类型

VS报错无法打开自己写的头文件_vs2013打不开自己定义的头文件-程序员宅基地

文章浏览阅读2.2k次,点赞6次,收藏14次。原因:自己写的头文件没有被加入到方案的包含目录中去,无法被检索到,也就无法打开。将自己写的头文件都放入header files。然后在VS界面上,右键方案名,点击属性。将自己头文件夹的目录添加进去。_vs2013打不开自己定义的头文件

【Redis】Redis基础命令集详解_redis命令-程序员宅基地

文章浏览阅读3.3w次,点赞80次,收藏342次。此时,可以将系统中所有用户的 Session 数据全部保存到 Redis 中,用户在提交新的请求后,系统先从Redis 中查找相应的Session 数据,如果存在,则再进行相关操作,否则跳转到登录页面。此时,可以将系统中所有用户的 Session 数据全部保存到 Redis 中,用户在提交新的请求后,系统先从Redis 中查找相应的Session 数据,如果存在,则再进行相关操作,否则跳转到登录页面。当数据量很大时,count 的数量的指定可能会不起作用,Redis 会自动调整每次的遍历数目。_redis命令

URP渲染管线简介-程序员宅基地

文章浏览阅读449次,点赞3次,收藏3次。URP的设计目标是在保持高性能的同时,提供更多的渲染功能和自定义选项。与普通项目相比,会多出Presets文件夹,里面包含着一些设置,包括本色,声音,法线,贴图等设置。全局只有主光源和附加光源,主光源只支持平行光,附加光源数量有限制,主光源和附加光源在一次Pass中可以一起着色。URP:全局只有主光源和附加光源,主光源只支持平行光,附加光源数量有限制,一次Pass可以计算多个光源。可编程渲染管线:渲染策略是可以供程序员定制的,可以定制的有:光照计算和光源,深度测试,摄像机光照烘焙,后期处理策略等等。_urp渲染管线

推荐文章

热门文章

相关标签