FPGA设计中,对SPI进行参数化结构设计_fpga spi spi_send-程序员宅基地

技术标签: fpga  FPGA学习系列  

今天给大侠带来FPGA设计中,对SPI进行参数化结构设计,话不多说,上货。

 

为了避免每次SPI驱动重写,直接参数化,尽量一劳永逸。SPI master有啥用呢,你发现各种外围芯片的配置一般都是通过SPI配置的,只不过有三线和四线。SPI slave有什么用呢,当外部主机(cpu)要读取FPGA内部寄存器值,那就很有用了,FPGA寄存器就相当于RAM,cpu通过SPI寻址读写数据。代码仅供参考,勿做商业用途。

 

SPI salve

SPI salve支持功能:

  • 支持三线SPI或者四线SPI。通过define切换。                                 

  • 支持指令长度、帧长自定义。                               

  • 工作时钟可自定义,大于SPI clk的2倍。

 

用户只需修改:(1)几线SPI。(2)单帧长度。(3)指令长度。(4)寄存器开辟。

注意:指令最高bit表示读写,低写高读,其余bit表示地址。指令接着为数据端,两者位宽之和即为SPI单帧长。

//`define SPI_LINE  //是否是三线SPI`define SPI_FRAME_WIDTH 16 //SPI一帧长度为16`define SPI_INS_WIDTH 8    //SPI指令长`timescale 1ns/1psmodule spi_slave (    input     i_clk               , //work clk    input     i_rst_n             ,        input     i_spi_clk           , //SPI clk    input     i_spi_cs            , //SPI cs    `ifdef SPI_LINE                 //条件编译    inout     io_spi_sdio              `else    input     i_spi_mosi          , //SPI mosi    output    o_spi_miso            //SPI miso    `endif          );//位宽计算函数function integer clogb2 (input integer depth);begin    for (clogb2=0; depth>0; clogb2=clogb2+1)         depth = depth >>1;                          endendfunctionreg r_cs = 1'b1; //打一拍always @(posedge i_clk)begin    r_cs <= i_spi_cs;endreg [1:0] r_spi_clk_edge = 2'b00; //SPI clk边沿检测always @(posedge i_clk)begin    r_spi_clk_edge <= {r_spi_clk_edge[0],i_spi_clk};end //alwaysreg [clogb2(`SPI_FRAME_WIDTH-1)-1:0] r_spi_cnt = 'd0;always @(posedge i_clk)begin    if (r_cs) //cs为高则归零        r_spi_cnt <= 'd0;    else if (r_spi_clk_edge == 2'b10) //下降沿才计数        r_spi_cnt <= r_spi_cnt + 'd1;end指令锁存reg [`SPI_INS_WIDTH-1:0] r_ins = 'd0;always @(posedge i_clk)begin    if ((~r_cs) && (r_spi_clk_edge == 2'b01)) //上升沿锁存数据    begin        if ((r_spi_cnt >= 0) && (r_spi_cnt <= `SPI_INS_WIDTH-1))        `ifdef SPI_LINE                 //条件编译            r_ins <= {r_ins[`SPI_INS_WIDTH-2:0],io_spi_sdio};          `else            r_ins <= {r_ins[`SPI_INS_WIDTH-2:0],i_spi_mosi};          `endif     end end数值写入reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_data_rx = 'd0;always @(posedge i_clk)begin    if ((~r_cs) && (r_spi_clk_edge == 2'b01)) //上升沿锁存数据    begin        if (r_spi_cnt >= `SPI_INS_WIDTH)        `ifdef SPI_LINE            r_data_rx <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio};        `else            r_data_rx <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi};           `endif    endend用户寄存器定义reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_reg0 = 'd0;reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_reg1 = 'd0;reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_reg2 = 'd0;reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_reg3 = 'd0;always @(posedge i_clk,negedge i_rst_n)begin    if (~i_rst_n)    begin        r_reg0 <= 'd0;        r_reg1 <= 'd0;        r_reg2 <= 'd0;        r_reg3 <= 'd0;    end    else if ((~r_ins[`SPI_INS_WIDTH-1]) && (r_spi_cnt == (`SPI_FRAME_WIDTH-1)) && (~r_cs) && (r_spi_clk_edge == 2'b01))    begin    `ifdef SPI_LINE        case (r_ins[`SPI_INS_WIDTH-2:0])            'd0:begin r_reg0 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio}; end            'd1:begin r_reg1 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio}; end            'd2:begin r_reg2 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio}; end            'd3:begin r_reg3 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio}; end        endcase     `else        case (r_ins[`SPI_INS_WIDTH-2:0])            'd0:begin r_reg0 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi}; end            'd1:begin r_reg1 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi}; end            'd2:begin r_reg2 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi}; end            'd3:begin r_reg3 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi}; end        endcase     `endif     endend寄存器值读出reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_data_tx = 'd0;always @(posedge i_clk)begin    if (r_ins[`SPI_INS_WIDTH-1] && (~r_cs) && (r_spi_clk_edge == 2'b10))    begin         if (r_spi_cnt == (`SPI_INS_WIDTH-1))        begin            case (r_ins[`SPI_INS_WIDTH-2:0])                'd0:begin r_data_tx <= r_reg0; end                 'd1:begin r_data_tx <= r_reg1; end                 'd2:begin r_data_tx <= r_reg2; end                 'd3:begin r_data_tx <= r_reg3; end                 endcase         end        else             r_data_tx <= {r_data_tx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],1'b0};    end end读取输出`ifdef SPI_LINEassign io_spi_sdio = (r_ins[`SPI_INS_WIDTH-1]) ? (((r_spi_cnt>=`SPI_INS_WIDTH) && (r_spi_cnt<`SPI_FRAME_WIDTH)) ? r_data_tx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1] : 1'bz) : 1'bz;`else assign o_spi_miso = ((r_spi_cnt>=`SPI_INS_WIDTH) && (r_spi_cnt<`SPI_FRAME_WIDTH)) ? r_data_tx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1] : 1'b0;`endifendmodule // end the spi_slave model

 

SPI master

spi master内部仅仅封装SPI驱动,写入值读出控制由上层控制,这部分逻辑很simple,不赘述。用户只需给入SPI帧及控制使能即可。

用户只需修改parameter参数:(1)单帧长;(2)指令长;(3)数据长;(4)工作时钟;(5)SPI clk。

实现不使用状态机,采用线性序列计数法。

//`define SPI_LINE  //是否是三线SPI`timescale 1ns/1psmodule spi_master #(parameter p_spi_frame_width = 16, //SPI单帧长度  parameter p_spi_ins_width = 8   , //指令长度  parameter p_spi_data_width = 8    //读出数据长度 )(    input                                i_clk             , //系统时钟    input                                i_rst_n           ,    input                                i_flag            , //检测到flag的上升沿则启动一次传输,一个时钟周期即可       input     [p_spi_frame_width-1:0]    i_spi_data        ,    output                               o_spi_cs          ,    output                               o_spi_clk         ,    `ifdef SPI_LINE                 //条件编译    inout                                io_spi_sdio       ,              `else    input                                i_spi_miso        , //SPI miso    output                               o_spi_mosi        , //SPI mosi    `endif         output                               o_transfer_done   , //单次传输完成    output    [p_spi_data_width-1:0]     o_spi_data          //读取数据           );parameter p_clk_fre = 200; //XXM时钟频率parameter p_spi_clk_fre = 0.5*1000; //SPI 时钟速率,表示1Mparameter p_clk_div = p_clk_fre * 1000/p_spi_clk_fre/2-1;parameter p_spi_cnt_max = p_spi_frame_width*2-1;parameter p_spi_ins_max = p_spi_ins_width*2-1;//位宽计算函数function integer clogb2 (input integer depth);begin    for (clogb2=0; depth>0; clogb2=clogb2+1)         depth = depth >>1;                          endendfunction//把最大值赋值给线型,直接用p_clk_div仿真有问题,但实际上板是可以的wire [clogb2(p_clk_div)-1:0] w_clk_div;assign w_clk_div = p_clk_div;时钟分频reg [clogb2(p_clk_div)-1:0] r_cnt_div = 'd0;always @(posedge i_clk)begin    if (r_cnt_div == w_clk_div)        r_cnt_div <= 'd0;    else         r_cnt_div <= r_cnt_div + 'd1;end //alwayswire w_clk_en; //分频时钟使能assign w_clk_en = (r_cnt_div == w_clk_div) ? 1'b1 : 1'b0;reg [1:0] r_flag_edge = 2'b00;reg [clogb2(p_spi_cnt_max)-1:0] r_spi_cnt = 'd0;always @(posedge i_clk) //flag边沿检测begin    r_flag_edge <= {r_flag_edge[0],i_flag};end//flag信号展宽到低速时钟域reg r_flag_enlarge = 1'b0;always @(posedge i_clk)begin    if (r_flag_edge == 2'b01) //上升沿拉高        r_flag_enlarge <= 1'b1;    else if (r_spi_cnt == p_spi_ins_max) //足够长的高电平才拉低        r_flag_enlarge <= 1'b0;endreg [1:0] r_flag_enlarge_edge = 2'b00;always @(posedge i_clk)begin    if (w_clk_en)        r_flag_enlarge_edge <= {r_flag_enlarge_edge[0],r_flag_enlarge};endreg r_cs = 1'b1;always @(posedge i_clk)begin    if (w_clk_en)    begin        if (r_flag_enlarge_edge == 2'b01) //检测到需要进行SPI操作            r_cs <= 1'b0;        else if (r_spi_cnt == p_spi_cnt_max) //计数到最大值表示一次SPI完成            r_cs <= 1'b1;    endendalways @(posedge i_clk)begin    if (w_clk_en)    begin        if(~r_cs) //在操作区间计数            r_spi_cnt <= r_spi_cnt + 'd1;        else             r_spi_cnt <= 'd0;    end    end数据传输段reg [p_spi_frame_width-1:0] r_data = 'd0;always @(posedge i_clk)begin    if (w_clk_en)    begin        if (r_flag_enlarge_edge == 2'b01) //上升沿刷入            r_data <= i_spi_data;        else if (r_spi_cnt[0] == 1'b1) //数据移动            r_data <= {r_data[p_spi_frame_width-2:0],1'b1};    endend数据读取段reg [p_spi_data_width-1:0] r_data_read = 'd0;always @(posedge i_clk)begin    if (w_clk_en)    begin        if (i_spi_data[p_spi_frame_width-1] && (r_spi_cnt > p_spi_ins_max) && (r_spi_cnt[0] == 1'b0)) //是读        `ifdef SPI_LINE            r_data_read <= {r_data_read[p_spi_data_width-2:0],io_spi_sdio};        `else            r_data_read <= {r_data_read[p_spi_data_width-2:0],i_spi_miso};        `endif     end    endSPI输出段assign o_spi_cs = r_cs;assign o_spi_clk = r_cs ? 1'b0 : r_spi_cnt[0];SPI SDIO的输入输出切换`ifdef SPI_LINEassign io_spi_sdio = (i_spi_data[p_spi_frame_width-1]) ? (((r_spi_cnt >= 'd0) && (r_spi_cnt <= p_spi_ins_max)) ? r_data[p_spi_frame_width-1] : 1'bz ) : r_data[p_spi_frame_width-1];`else assign o_spi_mosi = r_data[p_spi_frame_width-1];`endif assign o_transfer_done = ((~r_cs) && (r_spi_cnt == p_spi_cnt_max)) ? 1'b1:1'b0;assign o_spi_data = r_data_read;endmodule // end the spi_master model

 

仿真如下所示:写入四个寄存器值,再读出。

仿真代码如下:

`define TRANSFER_NUMBER 8 //操作数为4`define DATA 8'ha5//`define SPI_LINEtimeunit 1ns;timeprecision 1ps;module top;parameter p_sim_end_time = 1000000; //nslogic l_clk = 1'b0;always #2.5 l_clk = ~l_clk;复位logic l_rst_n  = 1'b0;initial begin    #100 l_rst_n = 1'b1;endwire io_sdio;wire o_spi_cs;wire o_spi_clk;wire o_transfer_done;wire [7:0] o_spi_data;多个数据操作模式reg r_flag = 1'b0;reg [1:0] r_first_cnt = 2'b00;always @(posedge l_clk,negedge l_rst_n)begin    if (~l_rst_n)        r_first_cnt <= 2'b00;    else if (r_first_cnt == 2'd3)        r_first_cnt <= r_first_cnt;    else         r_first_cnt <= r_first_cnt + 2'd1;endreg [1:0] r_transfer_done_edge = 2'b00;always @(posedge l_clk)begin    r_transfer_done_edge <= {r_transfer_done_edge[0],o_transfer_done};endreg [3:0] r_transfer_cnt = 4'd0;always @(posedge l_clk)begin    if ((r_first_cnt == 2'd2) && (r_transfer_cnt < `TRANSFER_NUMBER))        r_flag <= 1'b1;    else if ((r_transfer_done_edge == 2'b10) && (r_transfer_cnt < `TRANSFER_NUMBER-1))        r_flag <= 1'b1;    else         r_flag <= 1'b0;        endalways @(posedge l_clk)begin    if (r_transfer_done_edge == 2'b10)        r_transfer_cnt <= r_transfer_cnt + 'd1;endreg [15:0] r_in_data  = 16'd0;always @(*)begin    if (~l_rst_n) //仿真不执行此段仿真会有问题        r_in_data  = 16'h0000;    else     begin     case(r_transfer_cnt)        4'd0:begin  r_in_data  = {8'h00,8'h43}; end         4'd1:begin  r_in_data  = 16'h0132; end         4'd2:begin  r_in_data  = 16'h0245; end         4'd3:begin  r_in_data  = 16'h0367; end         4'd4:begin  r_in_data  = 16'h8000; end         4'd5:begin  r_in_data  = 16'h8100; end         4'd6:begin  r_in_data  = 16'h8200; end         4'd7:begin  r_in_data  = 16'h8300; end         default:begin  r_in_data  = 16'h0000; end    endcase    end endwire w_spi_miso;wire w_spi_mosi;spi_master inst_spi_master (    .i_clk             (l_clk),    .i_rst_n           (),    .i_flag            (r_flag),    .i_spi_data        (r_in_data),    .o_spi_cs          (o_spi_cs),    .o_spi_clk         (o_spi_clk),    `ifdef SPI_LINE    .io_spi_sdio       (io_sdio),    `else    .i_spi_miso        (w_spi_miso),    .o_spi_mosi        (w_spi_mosi),    `endif    .o_transfer_done   (o_transfer_done),    .o_spi_data        (o_spi_data)    );spi_slave  inst_spi_slave (    .i_clk                            (l_clk),    .i_rst_n                          (l_rst_n),    .i_spi_clk                        (o_spi_clk),    .i_spi_cs                         (o_spi_cs),    `ifdef SPI_LINE    .io_spi_sdio                      (io_sdio)    `else    .i_spi_mosi                      (w_spi_mosi),    .o_spi_miso                      (w_spi_miso)    `endif);initial begin    #p_sim_end_time $stop;end    endmodule

 

三线SPI:

 

四线SPI:

 

可以看到读写是一致的,验证通过。

 

 

END

 

 

后续会持续更新,带来Vivado、 ISE、Quartus II 、candence等安装相关设计教程,学习资源、项目资源、好文推荐等,希望大侠持续关注。

大侠们,江湖偌大,继续闯荡,愿一切安好,有缘再见!

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/qq_40310273/article/details/106102941

智能推荐

分布式光纤传感器的全球与中国市场2022-2028年:技术、参与者、趋势、市场规模及占有率研究报告_预计2026年中国分布式传感器市场规模有多大-程序员宅基地

文章浏览阅读3.2k次。本文研究全球与中国市场分布式光纤传感器的发展现状及未来发展趋势,分别从生产和消费的角度分析分布式光纤传感器的主要生产地区、主要消费地区以及主要的生产商。重点分析全球与中国市场的主要厂商产品特点、产品规格、不同规格产品的价格、产量、产值及全球和中国市场主要生产商的市场份额。主要生产商包括:FISO TechnologiesBrugg KabelSensor HighwayOmnisensAFL GlobalQinetiQ GroupLockheed MartinOSENSA Innovati_预计2026年中国分布式传感器市场规模有多大

07_08 常用组合逻辑电路结构——为IC设计的延时估计铺垫_基4布斯算法代码-程序员宅基地

文章浏览阅读1.1k次,点赞2次,收藏12次。常用组合逻辑电路结构——为IC设计的延时估计铺垫学习目的:估计模块间的delay,确保写的代码的timing 综合能给到多少HZ,以满足需求!_基4布斯算法代码

OpenAI Manager助手(基于SpringBoot和Vue)_chatgpt网页版-程序员宅基地

文章浏览阅读3.3k次,点赞3次,收藏5次。OpenAI Manager助手(基于SpringBoot和Vue)_chatgpt网页版

关于美国计算机奥赛USACO,你想知道的都在这_usaco可以多次提交吗-程序员宅基地

文章浏览阅读2.2k次。USACO自1992年举办,到目前为止已经举办了27届,目的是为了帮助美国信息学国家队选拔IOI的队员,目前逐渐发展为全球热门的线上赛事,成为美国大学申请条件下,含金量相当高的官方竞赛。USACO的比赛成绩可以助力计算机专业留学,越来越多的学生进入了康奈尔,麻省理工,普林斯顿,哈佛和耶鲁等大学,这些同学的共同点是他们都参加了美国计算机科学竞赛(USACO),并且取得过非常好的成绩。适合参赛人群USACO适合国内在读学生有意向申请美国大学的或者想锻炼自己编程能力的同学,高三学生也可以参加12月的第_usaco可以多次提交吗

MySQL存储过程和自定义函数_mysql自定义函数和存储过程-程序员宅基地

文章浏览阅读394次。1.1 存储程序1.2 创建存储过程1.3 创建自定义函数1.3.1 示例1.4 自定义函数和存储过程的区别1.5 变量的使用1.6 定义条件和处理程序1.6.1 定义条件1.6.1.1 示例1.6.2 定义处理程序1.6.2.1 示例1.7 光标的使用1.7.1 声明光标1.7.2 打开光标1.7.3 使用光标1.7.4 关闭光标1.8 流程控制的使用1.8.1 IF语句1.8.2 CASE语句1.8.3 LOOP语句1.8.4 LEAVE语句1.8.5 ITERATE语句1.8.6 REPEAT语句。_mysql自定义函数和存储过程

半导体基础知识与PN结_本征半导体电流为0-程序员宅基地

文章浏览阅读188次。半导体二极管——集成电路最小组成单元。_本征半导体电流为0

随便推点

【Unity3d Shader】水面和岩浆效果_unity 岩浆shader-程序员宅基地

文章浏览阅读2.8k次,点赞3次,收藏18次。游戏水面特效实现方式太多。咱们这边介绍的是一最简单的UV动画(无顶点位移),整个mesh由4个顶点构成。实现了水面效果(左图),不动代码稍微修改下参数和贴图可以实现岩浆效果(右图)。有要思路是1,uv按时间去做正弦波移动2,在1的基础上加个凹凸图混合uv3,在1、2的基础上加个水流方向4,加上对雾效的支持,如没必要请自行删除雾效代码(把包含fog的几行代码删除)S..._unity 岩浆shader

广义线性模型——Logistic回归模型(1)_广义线性回归模型-程序员宅基地

文章浏览阅读5k次。广义线性模型是线性模型的扩展,它通过连接函数建立响应变量的数学期望值与线性组合的预测变量之间的关系。广义线性模型拟合的形式为:其中g(μY)是条件均值的函数(称为连接函数)。另外,你可放松Y为正态分布的假设,改为Y 服从指数分布族中的一种分布即可。设定好连接函数和概率分布后,便可以通过最大似然估计的多次迭代推导出各参数值。在大部分情况下,线性模型就可以通过一系列连续型或类别型预测变量来预测正态分布的响应变量的工作。但是,有时候我们要进行非正态因变量的分析,例如:(1)类别型.._广义线性回归模型

HTML+CSS大作业 环境网页设计与实现(垃圾分类) web前端开发技术 web课程设计 网页规划与设计_垃圾分类网页设计目标怎么写-程序员宅基地

文章浏览阅读69次。环境保护、 保护地球、 校园环保、垃圾分类、绿色家园、等网站的设计与制作。 总结了一些学生网页制作的经验:一般的网页需要融入以下知识点:div+css布局、浮动、定位、高级css、表格、表单及验证、js轮播图、音频 视频 Flash的应用、ul li、下拉导航栏、鼠标划过效果等知识点,网页的风格主题也很全面:如爱好、风景、校园、美食、动漫、游戏、咖啡、音乐、家乡、电影、名人、商城以及个人主页等主题,学生、新手可参考下方页面的布局和设计和HTML源码(有用点赞△) 一套A+的网_垃圾分类网页设计目标怎么写

C# .Net 发布后,把dll全部放在一个文件夹中,让软件目录更整洁_.net dll 全局目录-程序员宅基地

文章浏览阅读614次,点赞7次,收藏11次。之前找到一个修改 exe 中 DLL地址 的方法, 不太好使,虽然能正确启动, 但无法改变 exe 的工作目录,这就影响了.Net 中很多获取 exe 执行目录来拼接的地址 ( 相对路径 ),比如 wwwroot 和 代码中相对目录还有一些复制到目录的普通文件 等等,它们的地址都会指向原来 exe 的目录, 而不是自定义的 “lib” 目录,根本原因就是没有修改 exe 的工作目录这次来搞一个启动程序,把 .net 的所有东西都放在一个文件夹,在文件夹同级的目录制作一个 exe._.net dll 全局目录

BRIEF特征点描述算法_breif description calculation 特征点-程序员宅基地

文章浏览阅读1.5k次。本文为转载,原博客地址:http://blog.csdn.net/hujingshuang/article/details/46910259简介 BRIEF是2010年的一篇名为《BRIEF:Binary Robust Independent Elementary Features》的文章中提出,BRIEF是对已检测到的特征点进行描述,它是一种二进制编码的描述子,摈弃了利用区域灰度..._breif description calculation 特征点

房屋租赁管理系统的设计和实现,SpringBoot计算机毕业设计论文_基于spring boot的房屋租赁系统论文-程序员宅基地

文章浏览阅读4.1k次,点赞21次,收藏79次。本文是《基于SpringBoot的房屋租赁管理系统》的配套原创说明文档,可以给应届毕业生提供格式撰写参考,也可以给开发类似系统的朋友们提供功能业务设计思路。_基于spring boot的房屋租赁系统论文