Simulink 环境基础知识(三十)--系统中的采样时间和子系统中的采样时间_sine wave 没有采样时间-程序员宅基地

技术标签: matlab  Simulink  

目录

系统中的采样时间

纯离散系统

混合系统

子系统中的采样时间


系统中的采样时间

纯离散系统

        纯离散系统完全由离散模块组成,可以使用固定步长或可变步长求解器进行建模。要对离散系统进行仿真,需要仿真器在每个采样时间点执行一个仿真步。

        对于多速率离散系统 - Simulink 以不同速率对其模块进行采样的系统 - 时间步的发生时间必须是每个系统采样时间的整数倍。否则,仿真器可能会漏掉关键的系统状态转移。Simulink 软件选择的步长大小取决用来仿真多速率系统的求解器类型和基础采样时间。

        多速率离散系统的基础采样时间是系统实际采样时间的最大双精度整数除数。例如,假设系统的采样时间为 0.25 和 0.50 秒。这种情况下,基础采样时间为 0.25 秒。再假设采样时间为 0.50 和 0.75 秒。基础采样时间还是 0.25 秒。

        基础采样时间的重要性直接关系到您指示 Simulink 软件使用固定步长还是可变步长离散求解器来解算多速率离散系统。固定步长求解器将仿真步长大小设置为等于离散系统的基础采样时间。相反,可变步长求解器会调整步长大小,使其等于实际采样时间点之间的距离。

        下图说明了固定步长和可变步长求解器之间的差异。

         在上图中,箭头表示仿真步,圆圈表示采样时间点。如图所示,执行系统仿真时,如果基础采样时间小于系统的任何实际采样时间,可变步长求解器将需要较少的仿真步。而另一方面,如果系统中有一个采样时间等于基础采样时间,则固定步长求解器需要的实现内存更少,速度更快。这在需要从 Simulink 模型中生成代码(使用 Simulink Coder)的应用中,可能算是一个优势。在任一情况下,Simulink 提供的离散求解器均针对离散系统进行了优化;但是,可以使用任何一种求解器对纯离散系统进行仿真,并获得相同的结果。

        假设有下面这样一个简单的多速率系统。在这个示例中,DTF1 Discrete Transfer Fcn 模块的 Sample time 设置为[1  0.1],偏移量为0.1。DTF2 Discrete Transfer Fcn 模块的 Sample time 设置为 0.7,无偏移量。求解器设置为可变步长离散求解器。

         运行仿真并使用stairs函数绘制输出

set_param(bdroot,'SolverType','Variable-Step','SolverName','VariableStepDiscrete','SaveFormat','Array');
simOut = sim(bdroot,'Stoptime','3');
stairs(simOut.tout,simOut.yout,'-*','LineWidth',1.2);
xlabel('Time (t)');
ylabel('Outputs (out1,out2)');
legend('t_s = [1, 0.1]','t_s = 0.7','location','best')

        生成的绘图如下所示。

        如图所示,因为 DTF1 模块的偏移量为 0.1,所以直到 t = 0.1 时 DTF1 模块才会开始输出。同样,传递函数的初始条件为零;因此,DTF1 的输出 y(1) 在此时间之前为零。

混合系统

        混合系统同时包含离散模块和连续模块,因此同时具有离散状态和连续状态。但是,Simulink 求解器将同时具有连续和离散采样时间的任何系统都视为混合系统。如图所示采样时间的设置:

        在模块图中,“混合”一词同时适用于混合系统(混合的连续离散系统)和具有多个采样时间的系统(多速率系统)。当您执行 Update Diagram 并将 Sample Time Display 的 Colors 设置为 'on' 时,此类系统的颜色将变为黄色。例如,假设以下模型中包含一个原子子系统“Discrete Cruise Controller”和一个虚拟子系统“Car Dynamics”。

车辆模型

         当 Sample Time 选项设置为 All 时,执行 Update Diagram 会将虚拟子系统变为黄色,表明它是一个混合子系统。这种情况下,该子系统是一个真正的混合系统,因为它同时具有连续和离散采样时间。如下所示,离散输入信号 D1 与连续速度信号 v 结合,为积分器生成连续输入信号。

更新图之后的车辆模型

 更新图之后的车辆动力学子系统

         现在,假设有一个多速率子系统包含三个 Sine Wave 源模块,每个模块具有唯一的采样时间,分别为 0.2、0.3 和 0.4。

更新图之后的多速率子系统

         Update Diagram 操作将子系统变为黄色,因为子系统包含多个采样时间。如模块图中所示,Sine Wave 模块具有离散采样时间 D1、D2 和 D3,输出信号将采用固定子步。

        在评估系统的多个采样时间时,Simulink 不会考虑常量 [inf, 0] 或异步 [–1, –n] 采样时间。因此,如果子系统中有一个模块输出常量值,另一个模块具有离散采样时间,则该子系统不被视为混合系统。

        混合注释和颜色设置对于评估您模型中的子系统是否继承了正确的或预期的采样时间非常有用。

子系统中的采样时间

        子系统分为两种:触发和非触发。对于触发子系统,一般情况下,子系统从触发信号获取采样时间。当使用Trigger模块创建触发子系统时,将发生一种例外情况。如果将模块的 Trigger type 设置为 function-call,并将 Sample time type 设置为 periodic,将激活 SampleTime 参数。这种情况下,指定 Trigger 模块的采样时间,然后由该模块确定子系统的采样时间。

有四种非触发子系统:

  • 虚拟

  • 使能

  • 原子

  • 执行

        对于虚拟和使能子系统,Simulink 基于各自内容的采样时间计算它们的采样时间。

        原子子系统是一种特殊情况,其特殊之处在于子系统模块有 SystemSampleTime 参数。而且,如果采样时间不是默认值 –1,原子子系统内的模块的 Inf 值只能是 –1 或者与子系统的 SampleTime 参数值完全相同(离散)。如果保留原子子系统为继承,Simulink 将按照与虚拟和使能子系统相同的方式计算模块的采样时间。但是,子系统SampleTime参数的主要用途是允许在一个原子子系统内同时指定大量模块,它们都设置为继承采样时间。要获取在原子子系统中设置的采样时间,请在命令提示符下使用以下命令:

get_param(AtomicSubsystemBlock,‘SystemSampleTime’);

        最后,操作子系统的采样时间由if 模块或switch Case模块设置。对于模块具有不同采样率的非触发子系统,Simulink 以子系统中存在的所有采样率的元胞数组形式返回子系统的 Compiled Sample Time。

get_param(subsystemBlock,'CompiledSampleTime')

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/jk_101/article/details/112706267

智能推荐

FX3/CX3 JLINK 调试_ezusbsuite_qsg.pdf-程序员宅基地

文章浏览阅读2.1k次。FX3 JLINK调试是一个有些麻烦的事情,经常有些莫名其妙的问题。 设置参见 c:\Program Files (x86)\Cypress\EZ-USB FX3 SDK\1.3\doc\firmware 下的 EzUsbSuite_UG.pdf 文档。 常见问题: 1.装了多个版本的jlink,使用了未注册或不适当的版本 选择一个正确的版本。JLinkARM_V408l,JLinkA_ezusbsuite_qsg.pdf

用openGL+QT简单实现二进制stl文件读取显示并通过鼠标旋转缩放_qopengl如何鼠标控制旋转-程序员宅基地

文章浏览阅读2.6k次。** 本文仅通过用openGL+QT简单实现二进制stl文件读取显示并通过鼠标旋转缩放, 是比较入门的级别,由于个人能力有限,新手级别,所以未能施加光影灯光等操作, 未能让显示的stl文件更加真实。****效果图:**1. main.cpp```cpp#include "widget.h"#include <QApplication>int main(int argc, char *argv[]){ QApplication a(argc, argv); _qopengl如何鼠标控制旋转

刘焕勇&王昊奋|ChatGPT对知识图谱的影响讨论实录-程序员宅基地

文章浏览阅读943次,点赞22次,收藏19次。以大规模预训练语言模型为基础的chatgpt成功出圈,在近几日已经给人工智能板块带来了多次涨停,这足够说明这一风口的到来。而作为曾经的风口“知识图谱”而言,如何找到其与chatgpt之间的区别,找好自身的定位显得尤为重要。形式化知识和参数化知识在表现形式上一直都是大家考虑的问题,两种技术都应该有自己的定位与价值所在。知识图谱构建往往是抽取式的,而且往往包含一系列知识冲突检测、消解过程,整个过程都能溯源。以这样的知识作为输入,能在相当程度上解决当前ChatGPT的事实谬误问题,并具有可解释性。

如何实现tomcat的热部署_tomcat热部署-程序员宅基地

文章浏览阅读1.3k次。最重要的一点,一定是degbug的方式启动,不然热部署不会生效,注意,注意!_tomcat热部署

用HTML5做一个个人网站,此文仅展示个人主页界面。内附源代码下载地址_个人主页源码-程序员宅基地

文章浏览阅读10w+次,点赞56次,收藏482次。html5 ,用css去修饰自己的个人主页代码如下:&lt;!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd"&gt;&lt;html xmlns="http://www.w3.org/1999/xh..._个人主页源码

程序员公开上班摸鱼神器!有了它,老板都不好意思打扰你!-程序员宅基地

文章浏览阅读201次。开发者(KaiFaX)面向全栈工程师的开发者专注于前端、Java/Python/Go/PHP的技术社区来源:开源最前线链接:https://github.com/svenstaro/gen..._程序员怎么上班摸鱼

随便推点

UG\NX二次开发 改变Block UI界面的尺寸_ug二次开发 调整 对话框大小-程序员宅基地

文章浏览阅读1.3k次。改变Block UI界面的尺寸_ug二次开发 调整 对话框大小

基于深度学习的股票预测(完整版,有代码)_基于深度学习的股票操纵识别研究python代码-程序员宅基地

文章浏览阅读1.3w次,点赞18次,收藏291次。基于深度学习的股票预测数据获取数据转换LSTM模型搭建训练模型预测结果数据获取采用tushare的数据接口(不知道tushare的筒子们自行百度一下,简而言之其免费提供各类金融数据 , 助力智能投资与创新型投资。)python可以直接使用pip安装tushare!pip install tushareCollecting tushare Downloading https://files.pythonhosted.org/packages/17/76/dc6784a1c07ec040e74_基于深度学习的股票操纵识别研究python代码

中科网威工业级防火墙通过电力行业测评_电力行业防火墙有哪些-程序员宅基地

文章浏览阅读2k次。【IT168 厂商动态】 近日,北京中科网威(NETPOWER)工业级防火墙通过了中国电力工业电力设备及仪表质量检验测试中心(厂站自动化及远动)测试,并成为中国首家通过电力协议访问控制专业测评的工业级防火墙生产厂商。   北京中科网威(NETPOWER)工业级防火墙专为工业及恶劣环境下的网络安全需求而设计,它采用了非X86的高可靠嵌入式处理器并采用无风扇设计,整机功耗不到22W,具备极_电力行业防火墙有哪些

第十三周 ——项目二 “二叉树排序树中查找的路径”-程序员宅基地

文章浏览阅读206次。/*烟台大学计算机学院 作者:董玉祥 完成日期: 2017 12 3 问题描述:二叉树排序树中查找的路径 */#include #include #define MaxSize 100typedef int KeyType; //定义关键字类型typedef char InfoType;typedef struct node

C语言基础 -- scanf函数的返回值及其应用_c语言ignoring return value-程序员宅基地

文章浏览阅读775次。当时老师一定会告诉你,这个一个"warning"的报警,可以不用管它,也确实如此。不过,这条报警信息我们至少可以知道一点,就是scanf函数调用完之后是有一个返回值的,下面我们就要对scanf返回值进行详细的讨论。并给出在编程时利用scanf的返回值可以实现的一些功能。_c语言ignoring return value

数字医疗时代的数据安全如何保障?_数字医疗服务保障方案-程序员宅基地

文章浏览阅读9.6k次。十四五规划下,数据安全成为国家、社会发展面临的重要议题,《数据安全法》《个人信息保护法》《关键信息基础设施安全保护条例》已陆续施行。如何做好“数据安全建设”是数字时代的必答题。_数字医疗服务保障方案

推荐文章

热门文章

相关标签